From d0ce1d6318438bb4b3a1e303a66797b1e8f5aa14 Mon Sep 17 00:00:00 2001 From: "robert.read" Date: Thu, 19 Jun 2008 19:46:44 +0000 Subject: [PATCH] Branch HEAD b=16069 Make sure the wildcard cases are actually last. --- build/buildcvs | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/build/buildcvs b/build/buildcvs index e2ad951..0df9ab6 100644 --- a/build/buildcvs +++ b/build/buildcvs @@ -238,6 +238,14 @@ case "$lustretag" in snmptag="$lustretag" ;; + # Branches that have been updated to include + # the libcfs split should be added here + HEAD) + lnettag="HEAD" + ldiskfstag="$ldiskfstag_head" + libcfstag="HEAD" + ;; + # all later v* tags v[1-9]*) lnettag="$lustretag" @@ -250,14 +258,6 @@ case "$lustretag" in lnettag="b1_x" ldiskfstag="$ldiskfstag_head" ;; - - # Branches that have been updated to include - # the libcfs split should be added here - HEAD) - lnettag="HEAD" - ldiskfstag="$ldiskfstag_head" - libcfstag="HEAD" - ;; esac cvs_cmd libsysio libsysio "$libsysiotag" -- 1.8.3.1